MUL

Multiplies a register with another register or immediate value.

Instruction

Operand 1

Operand 2

Description

MUL

Reg

Reg/Imm

OP1 = OP1 × OP2

block->mul(REG_SP, 2);

Last updated